Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Constants Cannot Be Imported From .vyi Files #3991

Closed
cyberthirst opened this issue May 2, 2024 · 1 comment
Closed

Constants Cannot Be Imported From .vyi Files #3991

cyberthirst opened this issue May 2, 2024 · 1 comment

Comments

@cyberthirst
Copy link
Collaborator

cyberthirst commented May 2, 2024

Version Information

  • vyper Version (output of vyper --version): commit 4b4e188

Issue description

Constants cannot be imported from .vyi interface files. This behavior
would be useful as one would usually want this for types dependent on
some value (for example a static array with some length).

credits: @trocher

@charles-cooper
Copy link
Member

closing in favor of #4176

@charles-cooper charles-cooper closed this as not planned Won't fix, can't repro, duplicate, stale Jul 26, 2024
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

2 participants