Skip to content

feat: support deploy-time library linking #653

feat: support deploy-time library linking

feat: support deploy-time library linking #653

GitHub Actions / Linux ARM64 Unit Tests Results failed Sep 23, 2024 in 0s

1 fail, 62 pass in 0s

63 tests  ±0   62 ✅ ±0   0s ⏱️ ±0s
 3 suites ±0    0 💤 ±0 
 1 files   ±0    1 ❌ ±0 

Results for commit 67605cd. ± Comparison against earlier commit f9044c1.

Annotations

Check warning on line 0 in cli::linker

See this annotation in the file changed.

@github-actions github-actions / Linux ARM64 Unit Tests Results

run_zksolc_without_libraries (cli::linker) failed

unit-tests-results.xml [took 0s]
Raw output
failed cli::linker::run_zksolc_without_libraries
thread 'cli::linker::run_zksolc_without_libraries' panicked at /rustc/3f5fd8dd41153bc5fdca9427e9e05be2c767ba23/library/core/src/ops/function.rs:250:5:
Unexpected failure.
code=<interrupted>
stderr=```"PLEASE submit a bug report to https://github.com/llvm/llvm-project/issues/ and include the crash backtrace.\n"```
command=`PATH="/__w/era-compiler-solidity/era-compiler-solidity/era-compiler-solidity/solc-bin" "/__w/era-compiler-solidity/era-compiler-solidity/target/aarch64-unknown-linux-musl/release/zksolc" "--link" "tests/examples/bytecodes/linker.hex"`
code=<interrupted>
stdout=""
stderr="PLEASE submit a bug report to https://github.com/llvm/llvm-project/issues/ and include the crash backtrace.\n"

stack backtrace:
   0:           0xf15164 - std::backtrace_rs::backtrace::libunwind::trace::hcdb5352c5d2f4fbe
                               at /rustc/3f5fd8dd41153bc5fdca9427e9e05be2c767ba23/library/std/src/../../backtrace/src/backtrace/libunwind.rs:116:5
   1:           0xf15164 - std::backtrace_rs::backtrace::trace_unsynchronized::h4d1391ac272e358a
                               at /rustc/3f5fd8dd41153bc5fdca9427e9e05be2c767ba23/library/std/src/../../backtrace/src/backtrace/mod.rs:66:5
   2:           0xf15164 - std::sys_common::backtrace::_print_fmt::h96f4c5cc1bba20ea
                               at /rustc/3f5fd8dd41153bc5fdca9427e9e05be2c767ba23/library/std/src/sys_common/backtrace.rs:68:5
   3:           0xf15164 - <std::sys_common::backtrace::_print::DisplayBacktrace as core::fmt::Display>::fmt::h9014388a813e1d3d
                               at /rustc/3f5fd8dd41153bc5fdca9427e9e05be2c767ba23/library/std/src/sys_common/backtrace.rs:44:22
   4:           0xf56724 - core::fmt::rt::Argument::fmt::h2bf2a7c91b05fe97
                               at /rustc/3f5fd8dd41153bc5fdca9427e9e05be2c767ba23/library/core/src/fmt/rt.rs:165:63
   5:           0xf56724 - core::fmt::write::h715e3863eda94c19
                               at /rustc/3f5fd8dd41153bc5fdca9427e9e05be2c767ba23/library/core/src/fmt/mod.rs:1168:21
   6:           0xf10e20 - std::io::Write::write_fmt::h5ac7880a7a1d5777
                               at /rustc/3f5fd8dd41153bc5fdca9427e9e05be2c767ba23/library/std/src/io/mod.rs:1835:15
   7:           0xf14f68 - std::sys_common::backtrace::_print::hda16095338fe2cd6
                               at /rustc/3f5fd8dd41153bc5fdca9427e9e05be2c767ba23/library/std/src/sys_common/backtrace.rs:47:5
   8:           0xf14f68 - std::sys_common::backtrace::print::h0047243b7c2d8661
                               at /rustc/3f5fd8dd41153bc5fdca9427e9e05be2c767ba23/library/std/src/sys_common/backtrace.rs:34:9
   9:           0xf16b1c - std::panicking::default_hook::{{closure}}::h95ce59259d546766
  10:           0xf166d4 - std::panicking::default_hook::hc2acf52af1584df1
                               at /rustc/3f5fd8dd41153bc5fdca9427e9e05be2c767ba23/library/std/src/panicking.rs:295:9
  11:           0x9ef1e4 - <alloc::boxed::Box<F,A> as core::ops::function::Fn<Args>>::call::h06be51301b5ffd2f
                               at /rustc/3f5fd8dd41153bc5fdca9427e9e05be2c767ba23/library/alloc/src/boxed.rs:2077:9
  12:           0x9ef1e4 - test::test_main::{{closure}}::h52e11934d413cbd6
                               at /rustc/3f5fd8dd41153bc5fdca9427e9e05be2c767ba23/library/test/src/lib.rs:137:21
  13:           0xf170d8 - <alloc::boxed::Box<F,A> as core::ops::function::Fn<Args>>::call::h9610fd57822f2184
                               at /rustc/3f5fd8dd41153bc5fdca9427e9e05be2c767ba23/library/alloc/src/boxed.rs:2077:9
  14:           0xf170d8 - std::panicking::rust_panic_with_hook::he51a736d7a6e03cc
                               at /rustc/3f5fd8dd41153bc5fdca9427e9e05be2c767ba23/library/std/src/panicking.rs:799:13
  15:           0xf16ea4 - std::panicking::begin_panic_handler::{{closure}}::hbb000374493997db
                               at /rustc/3f5fd8dd41153bc5fdca9427e9e05be2c767ba23/library/std/src/panicking.rs:664:13
  16:           0xf15644 - std::sys_common::backtrace::__rust_end_short_backtrace::h12a4a24c43371c6e
                               at /rustc/3f5fd8dd41153bc5fdca9427e9e05be2c767ba23/library/std/src/sys_common/backtrace.rs:171:18
  17:           0xf16c18 - rust_begin_unwind
                               at /rustc/3f5fd8dd41153bc5fdca9427e9e05be2c767ba23/library/std/src/panicking.rs:652:5
  18:           0xf54a18 - core::panicking::panic_fmt::hd2bfc281a5270414
                               at /rustc/3f5fd8dd41153bc5fdca9427e9e05be2c767ba23/library/core/src/panicking.rs:72:14
  19:           0xee0d00 - assert_cmd::assert::AssertError::panic::panic_cold_display::h446b2be7e287afab
  20:           0xedf470 - core::ops::function::FnOnce::call_once::h0117a67e767b6f68
  21:           0xedfd64 - assert_cmd::assert::Assert::success::h48042965081812c8
  22:           0x666f84 - cli_tests::cli::linker::run_zksolc_without_libraries::h95412473c26e48b9
  23:           0x65fc48 - core::ops::function::FnOnce::call_once::hb09c7a111ae127b6
  24:           0x9f2ef8 - core::ops::function::FnOnce::call_once::h83efdc7512706576
                               at /rustc/3f5fd8dd41153bc5fdca9427e9e05be2c767ba23/library/core/src/ops/function.rs:250:5
  25:           0x9f2ef8 - test::__rust_begin_short_backtrace::h44bba8cae49c91ff
                               at /rustc/3f5fd8dd41153bc5fdca9427e9e05be2c767ba23/library/test/src/lib.rs:625:18
  26:           0x9f27d8 - test::run_test_in_process::{{closure}}::h61bb8e411043eff0
                               at /rustc/3f5fd8dd41153bc5fdca9427e9e05be2c767ba23/library/test/src/lib.rs:648:60
  27:           0x9f27d8 - <core::panic::unwind_safe::AssertUnwindSafe<F> as core::ops::function::FnOnce<()>>::call_once::hf8a15dce9b972acc
                               at /rustc/3f5fd8dd41153bc5fdca9427e9e05be2c767ba23/library/core/src/panic/unwind_safe.rs:272:9
  28:           0x9f27d8 - std::panicking::try::do_call::hb9f6315dfa73b503
                               at /rustc/3f5fd8dd41153bc5fdca9427e9e05be2c767ba23/library/std/src/panicking.rs:559:40
  29:           0x9f27d8 - std::panicking::try::hbfe883aca96791b6
                               at /rustc/3f5fd8dd41153bc5fdca9427e9e05be2c767ba23/library/std/src/panicking.rs:523:19
  30:           0x9f27d8 - std::panic::catch_unwind::h40200cfd11b82a6b
                               at /rustc/3f5fd8dd41153bc5fdca9427e9e05be2c767ba23/library/std/src/panic.rs:149:14
  31:           0x9f27d8 - test::run_test_in_process::h91ac215e65800872
                               at /rustc/3f5fd8dd41153bc5fdca9427e9e05be2c767ba23/library/test/src/lib.rs:648:27
  32:           0x9f27d8 - test::run_test::{{closure}}::h67149a451dfca72e
                               at /rustc/3f5fd8dd41153bc5fdca9427e9e05be2c767ba23/library/test/src/lib.rs:569:43
  33:           0x9c36e4 - test::run_test::{{closure}}::heeb9b33ab510a015
                               at /rustc/3f5fd8dd41153bc5fdca9427e9e05be2c767ba23/library/test/src/lib.rs:599:41
  34:           0x9c36e4 - std::sys_common::backtrace::__rust_begin_short_backtrace::h69cb4795f5e566fb
                               at /rustc/3f5fd8dd41153bc5fdca9427e9e05be2c767ba23/library/std/src/sys_common/backtrace.rs:155:18
  35:           0x9c7dc0 - std::thread::Builder::spawn_unchecked_::{{closure}}::{{closure}}::hf6310cc2fd03df3f
                               at /rustc/3f5fd8dd41153bc5fdca9427e9e05be2c767ba23/library/std/src/thread/mod.rs:542:17
  36:           0x9c7dc0 - <core::panic::unwind_safe::AssertUnwindSafe<F> as core::ops::function::FnOnce<()>>::call_once::h944447ecdbb935e9
                               at /rustc/3f5fd8dd41153bc5fdca9427e9e05be2c767ba23/library/core/src/panic/unwind_safe.rs:272:9
  37:           0x9c7dc0 - std::panicking::try::do_call::h5a6c43b549d62698
                               at /rustc/3f5fd8dd41153bc5fdca9427e9e05be2c767ba23/library/std/src/panicking.rs:559:40
  38:           0x9c7dc0 - std::panicking::try::h5eb731947884a7ce
                               at /rustc/3f5fd8dd41153bc5fdca9427e9e05be2c767ba23/library/std/src/panicking.rs:523:19
  39:           0x9c7dc0 - std::panic::catch_unwind::h6c7d565abf4bc0fc
                               at /rustc/3f5fd8dd41153bc5fdca9427e9e05be2c767ba23/library/std/src/panic.rs:149:14
  40:           0x9c7dc0 - std::thread::Builder::spawn_unchecked_::{{closure}}::h54d0ddd654591fd8
                               at /rustc/3f5fd8dd41153bc5fdca9427e9e05be2c767ba23/library/std/src/thread/mod.rs:541:30
  41:           0x9c7dc0 - core::ops::function::FnOnce::call_once{{vtable.shim}}::h50b40c18f3c6f12c
                               at /rustc/3f5fd8dd41153bc5fdca9427e9e05be2c767ba23/library/core/src/ops/function.rs:250:5
  42:           0xf1c970 - <alloc::boxed::Box<F,A> as core::ops::function::FnOnce<Args>>::call_once::hf0d42c6f146f59e2
                               at /rustc/3f5fd8dd41153bc5fdca9427e9e05be2c767ba23/library/alloc/src/boxed.rs:2063:9
  43:           0xf1c970 - <alloc::boxed::Box<F,A> as core::ops::function::FnOnce<Args>>::call_once::h3943fc3f789190f4
                               at /rustc/3f5fd8dd41153bc5fdca9427e9e05be2c767ba23/library/alloc/src/boxed.rs:2063:9
  44:           0xf1c970 - std::sys::pal::unix::thread::Thread::new::thread_start::h548be5481b08e8f3
                               at /rustc/3f5fd8dd41153bc5fdca9427e9e05be2c767ba23/library/std/src/sys/pal/unix/thread.rs:108:17